itpcc

Python: การอ่านค่าสีในแต่ละ Pixel ของภาพด้วย PILlow

สวัสดีครับ
หลังๆ มานี่ผมไม่ได้เขียน Blog เลย เหมือนเคยครับ งานยุ่งจริงๆ (จริงๆ ช่วงนี้ก็สอบปลายภาคด้วยล่ะครับ แต่นอนไม่หลับ เวลาชีวิตรวนตั้งแต่ทำโปรเจ็กแล้ว แหะๆ)

บทความวันนี้ก็เกี่ยวกับโปรเจ็กที่ว่านี่ล่ะครับ เป็นโปรเจ็กเกมบน FPGA แบบง่ายๆ (เรียกว่างานเผาก็ย่อมได้) ใครสนใจไปดูได้บน Github FPGA “IA Journy” game ครับผม แต่ที่ผมจะนำเสนอวันนี้คือ script สร้างโมดูลวาดภาพภาษา Verilog ด้วย Python อีกที

งานหลักๆ ก็ตามชื่อบทความนั่นแหละครับ คืออ่านขนาดภาพและไล่อ่านค่าสีของแต่ละ pixel ก่อนเข้าสมการ (เรอะ?) เพื่อกำหนดค่าบิตสีขาออกจากโมดูลครับ ส่วนสาเหตุที่ใช้ Python ก็เนื่องจากว่า docs และ Stack Overflow มันเยอะ เขียนเผาๆ ก็จบงานได้ล่ะ :v

ขั้นตอนหลักในการทำงานของ Script มีดังนี้ครับ
1. รับ file path ของทั้งขาเข้าและขาออก (ขาเข้าเป็นภาพอะไรก็ได้ที่ PILlow รองรับ jpg, gif, png, bmp, ฯลฯ ส่วนขาออก จริงๆ ตั้งชื่อและนามสกุลไฟล์แบบไหนก็ได้ แต่ปกติ Verilog module ก็ใช้ .v ครับ และมีพ่วง .v.png ไว้พรีวิวว่าภาพจริง ๆ จะมีขนาดและสีสันอย่างไร) งานนี้ผมใช้ tkFileDialog รับค่าเข้ามานะครับ (เท่าที่ทราบใช้ได้เฉพาะบน Python 2 เท่านั้น) เพราะใช้ง่าย เรียก method tkFileDialog.askopenfilename() เดียวเอาอยู่เลย
2. ขอชื่อ module ขาออก ตรงนี้แค่ raw_input ง่ายๆ ครับ ไม่มีอะไรพิเศษ
3. อ่านค่าเบื้องต้นของภาพ (ขนาดภาพ) ใช้ .size อ่านจาก Image object (ในที่นี้คือ Image.open(in_path))
4. แปลง format ภาพเป็น RGBA (ต้องเก็บค่าความใส–alpha ไว้ใช้เช็คว่าทึบรึเปล่ากรณี gif และ png ครับ) ใช้ .convert('RGBA')
5. อ่านค่าสี RGBA ด้วย .getpixel((x, y)) แล้วเอาค่ามาเข้า condition ดูว่าจะให้บิตสีออกเป็นอะไร ถ้าสีใดเกินกึ่งหนึ่งของสี (หรือ 127 ขึ้นไป) และค่าความใสเกินกึ่งหนึ่งเช่นกัน ก็ให้บิตสีนั้นเป็น 1 ไป
6. เช็คบิตสีผลลัพธ์ว่าเกิน 2’b000 รึเปล่า ถ้าเกินก็ให้โมดูลเปลี่ยนสีให้ เพราะสีดำค่อยเข้า else เอา
7. พ่นไฟล์และภาพพรีวิวออกมาเลย

ตัวอย่างการใช้ image2verilog ซึ่งใช้การหาพอกเซลดังกล่าวข้างต้น

เช่นเคยครับ มีข้อสงสัย comment เข้ามาได้ครับผม 🙂

Exit mobile version