Python: การอ่านค่าสีในแต่ละ Pixel ของภาพด้วย PILlow

สวัสดีครับ หลังๆ มานี่ผมไม่ได้เขียน Blog เลย เหมือนเคยครับ งานยุ่งจริงๆ (จริงๆ ช่วงนี้ก็สอบปลายภาคด้วยล่ะครับ แต่นอนไม่หลับ เวลาชีวิตรวนตั้งแต่ทำโปรเจ็กแล้ว แหะๆ) บทความวันนี้ก็เกี่ยวกับโปรเจ็กที่ว่านี่ล่ะครับ เป็นโปรเจ็กเกมบน FPGA แบบง่ายๆ (เรียกว่างานเผาก็ย่อมได้) ใครสนใจไปดูได้บน Github FPGA “IA Journy” game ครับผม แต่ที่ผมจะนำเสนอวันนี้คือ script สร้างโมดูลวาดภาพภาษา Verilog ด้วย Python อีกที งานหลักๆ ก็ตามชื่อบทความนั่นแหละครับ คืออ่านขนาดภาพและไล่อ่านค่าสีของแต่ละ pixel ก่อนเข้าสมการ (เรอะ?) เพื่อกำหนดค่าบิตสีขาออกจากโมดูลครับ ส่วนสาเหตุที่ใช้ Python ก็เนื่องจากว่า docs และ Stack Overflow มันเยอะ เขียนเผาๆ ก็จบงานได้ล่ะ :v This file contains bidirectional Unicode text…

Read More